• 本当は、田舎に庵を建てて隠遁生活したいけど、先立つものも無いので自宅で..。

ADCで信号をキャプチャする(4)

テストベンチです。

あらかじめ”simdat.txt”にADCのデータを作成しておきます。 最初に$readmembで、simdat.txtのデータを読み込んでstbでメモリのアドレスをカウントして、adcsimにADCのデータを渡します。

task comwriteで、コマンドを1ビットづつ5Mボーで送り、後はデータが送られてくるのを待ちます。

シミュレーション結果です。

ADCデータは、アナログ表示にしています。 TXDからデータが送信されているのが確認できました。

コメントを残す

メールアドレスが公開されることはありません。 が付いている欄は必須項目です

CAPTCHA